컨텐츠 바로가기


board


현재 위치

  1. 게시판
  2. 기타Q&A

기타Q&A

기타 문의 게시판입니다.

7 Segment/FND 아노드 공통형 모듈 아두이노
제목 7 Segment/FND 아노드 공통형 모듈 아두이노
작성자 이승민 (ip:)
  • 작성일 2019-05-18 18:05:26
  • 추천 추천 하기
  • 조회수 729
  • 평점 0점

 

 이 세그먼트의 회로를 어떻게 연결해야하는지 알려주실수 있나요?
(예제포함)
첨부파일
비밀번호 삭제하려면 비밀번호를 입력하세요.

목록

삭제 수정 답변

댓글 수정

비밀번호

수정 취소

/ byte

댓글 입력

이름 비밀번호 관리자답변보기

확인

/ byte


* 왼쪽의 문자를 공백없이 입력하세요.(대소문자구분)

회원에게만 댓글 작성 권한이 있습니다.